Pertanyaan yang diberi tag vhdl

9
SystemC vs HDLs

Saat ini saya terlibat dalam proyek universitas untuk mengimplementasikan prosesor dari set instruksi yang ada. Idenya adalah bahwa pada akhir proyek saya harus dapat mensintesis desain ini dan menjalankannya dalam FPGA. Semuanya berjalan dengan baik sejauh ini, saya mulai mengimplementasikan...

9
Menekan baris tombol yang sama secara bersamaan

Saya merancang tombol di VHDL. Semuanya berfungsi dengan baik ketika hanya satu tombol yang ditekan. Saya memindai setiap kolom untuk menekan tombol di mesin keadaan dan ketika tidak ada tombol yang ditekan, yang merupakan kondisi pin4pin6pin7pin2 = "0000"saya beralih ke keadaan berikutnya untuk...

9
VHDL: menerima modul gagal secara acak saat menghitung bit

Latar Belakang Ini adalah proyek pribadi; itu tentang menghubungkan FPGA ke N64, nilai byte yang diterima FPGA kemudian dikirim melalui UART ke komputer saya. Ini sebenarnya berfungsi cukup baik! Sayangnya, secara acak, perangkat akan gagal, lalu pulih. Melalui debugging, saya sudah berhasil...

9
Bagaimana variabel VHDL disintesis oleh alat sintesis

Saya tahu dua cara di mana variabel VHDL disintesis oleh alat sintesis: Variabel disintesis sebagai logika kombinasional Variabel disintesis sebagai Latch secara tidak sengaja (ketika variabel yang tidak diinisialisasi ditugaskan ke sinyal atau variabel lain) Apa cara lain di mana variabel VHDL...

9
Kapan menggunakan STD_LOGIC melalui BIT dalam VHDL

Apa perbedaan antara menggunakan: ENTITY MyDemo is PORT(X: IN STD_LOGIC; F: OUT STD_LOGIC ); END MyDemo; dan ENTITY MyDemo is PORT(X: IN BIT; F: OUT BIT ); END MyDemo; Apa batasan menggunakan BIT di atas STD_LOGIC dan sebaliknya? Apakah mereka sepenuhnya dapat dipertukarkan? Saya...

9
Mensimulasikan bangku tes sederhana dengan inti ROM yang disintesis

Saya benar-benar baru di dunia FPGA dan berpikir saya akan mulai dengan proyek yang sangat sederhana: decoder 7-segmen 4-bit. Versi pertama yang saya tulis murni dalam VHDL (pada dasarnya ini adalah kombinasi tunggal select, tidak ada jam yang diperlukan) dan tampaknya berfungsi, tetapi saya juga...

9
Bagaimana menghindari kait selama sintesis

Saya ingin merancang blok logika kombinasional menggunakan VHDL, tetapi kadang-kadang hasil yang disintesis mengandung kait yang tidak disengaja. Pedoman pengkodean apa yang harus saya ikuti untuk menghindari synthesizer dari menyimpulkan kait? Contoh: dalam segmen kode yang kecil, haruskah saya...

8
FPGA VGA Buffer. Bagaimana cara membaca dan menulis?

Saya memiliki papan Altera DE2 dan mencoba menggambar sprite. Saya mengalami masalah dalam menerapkan buffer layar. Saya memiliki entitas tampilan yang pada tingkat 25 MHZ menghasilkan piksel untuk tampilan vga. Saya berharap untuk mengimplementasikan buffer di SDRAM. Ide aslinya adalah memuat...