Pertanyaan yang diberi tag hdl

157
Berapa biaya untuk membuat ASIC khusus?

Saya telah melihat-lihat beberapa web pabrikan ASIC, tetapi saya belum menemukan angka yang sebenarnya. Saya berasumsi akan ada biaya tetap yang terkait dengan pembuatan topeng dan semacamnya dan kemudian akan ada biaya per unit. Catatan: bahwa saya sebenarnya tidak ingin ASIC dibuat, saya hanya...

28
VHDL: Konversi dari tipe INTEGER ke STD_LOGIC_VECTOR

Saya membangun penghitung mod-16, dan hasil keluarannya adalah INTEGER (semua contoh yang saya lihat menggunakan INTEGER). Saya membuat dekoder hex-to-7-segment-display, dan inputnya adalah STD_LOGIC_VECTOR (tulis seperti itu karena mudah untuk memetakan tabel kebenaran). Saya ingin menghubungkan...

25
VHDL: Komponen vs Entitas

Saya bertanya-tanya apa perbedaan antara komponen suatu entitas. Saya ingin tahu dalam hal apa lebih baik menggunakan komponen daripada entitas. Terima kasih

24
Bagaimana saya belajar HDL

Saya memiliki kursus dalam Desain Digital di semester ini dan sangat menyukainya. Sekarang saya tahu bahwa sebagian besar pekerjaan dalam sistem tertanam dan desain digital dilakukan pada simulator komputer terlebih dahulu dan kemudian diimplementasikan menggunakan perangkat keras. Jadi saya...

24
RTL vs HDL? Apa bedanya

Apa perbedaan utama antara RTL dan HDL? Sejujurnya saya mencari / meng-google-kannya, tetapi orang-orang terbagi dalam pendapat mereka. Saya ingat seseorang mengatakan bahwa HDL adalah bahasa komputer yang digunakan untuk menggambarkan sirkuit digital dan ketika disintesis, maka itu dianggap...

24
std_logic atau std_ulogic?

Tampaknya dunia telah memutuskan bahwa std_logic(dan std_logic_vector) adalah cara default untuk mewakili bit dalam VHDL. Alternatifnya adalah std_ulogic, yang tidak diselesaikan. Ini mengejutkan saya karena biasanya, Anda tidak menggambarkan bus , jadi Anda tidak ingin banyak pengemudi dan Anda...

22
VHDL yang dapat merusak FPGA

Saya membaca di suatu tempat bahwa kode VHDL yang buruk dapat menyebabkan kerusakan FPGA. Apakah mungkin untuk merusak FPGA dengan kode VHDL? Kondisi seperti apa yang menyebabkan ini dan apa skenario

22
Mengapa kait disimpulkan buruk?

Kompiler saya mengeluh tentang kait yang disimpulkan dalam loop kombinatorial saya ( always @(*), dalam Verilog). Saya juga diberitahu bahwa kait yang disimpulkan sebaiknya dihindari. Apa sebenarnya yang salah dengan kait yang disimpulkan? Mereka tentu membuat loop kombinatorial lebih mudah untuk...

19
VHDL IDE untuk lingkungan GNU / linux

Saya harus mempelajari VHDL dari 0 dan saya ingin memiliki opsi yang berjalan di bawah kernel linux, bukan NT / Windows: ada tips? Saya juga sangat menghargai beberapa tautan bagus ke sumber daya VHDL yang bagus untuk pemula, terima

18
Verifikasi soft-CPU

Saat ini saya sedang dalam proses mendesain CPU sederhana dalam VHDL menggunakan Xilinx ISE dan ISIM. Bagian desain berjalan dengan sangat baik, tetapi saya tidak bisa menemukan cara untuk melakukan verifikasi secara konsisten. Saat ini saya memiliki bangku tes VHDL yang saya perbarui untuk...

17
VHDL: bilangan bulat untuk sintesis?

Saya agak bingung apakah saya harus menggunakan bilangan bulat di VHDL untuk sinyal dan porta sintesis, dll. Saya menggunakan std_logic di pelabuhan tingkat atas, tetapi secara internal saya telah menggunakan bilangan bulat berkisar di semua tempat. Namun, saya telah menemukan beberapa referensi...

16
Proyeksikan untuk mempelajari VHDL

Saya seorang siswa EE dan dapat menulis program [setidaknya sederhana] dalam lebih banyak bahasa daripada yang saya miliki. Saya baru saja mulai belajar VHDL dan saya bertanya-tanya apa proyek yang bagus untuk benar-benar mengenal bahasa dan alat yang relevan? Saya mengalami masalah dengan satu...