IDE gratis untuk VHDL dan Verilog [ditutup]

29

Saya tertarik mempelajari VHDL dan Verilog. Saya bertanya-tanya apakah ada IDE gratis untuk mereka?

itu tentang kode
sumber
2
Lihatlah pertanyaan ini: electronics.stackexchange.com/questions/22596/…
trondd
1
Gratis seperti dalam ucapan atau gratis seperti dalam bir?
The Photon

Jawaban:

30

Pertama-tama: selamat datang di dunia desain logika.

Kedua, Anda perlu memahami "designflow" (buzzword penting!) Designflow di VHDL / Verilog adalah:

  1. pikirkan desain yang ingin Anda terapkan, mis. seorang penambah
  2. mengimplementasikan desain dalam VHDL / Verilog
  3. mengimplementasikan testbench di VHDL / Verilog
  4. gunakan testbench untuk mensimulasikan desain Anda (dari langkah 2)
  5. jika ini berhasil dan simulasi berhasil, cobalah untuk mensintesis desain
  6. lakukan semua hal lain, seperti peta, tempat, dan rute
  7. buat file .bit
  8. gunakan JTAG Anda untuk memprogram FPGA Anda
  9. KEUNTUNGAN! (semoga)

jadi, seperti yang Anda lihat, ini BANYAK langkah. untuk beberapa dari mereka adalah alat open source yang tersedia. Hanya gratis akan sangat membosankan, jadi saya akan mencoba menunjukkan kepada Anda apa yang dimiliki pasar.

  • untuk langkah 1) yang paling penting adalah Libre / openOffice Calc, kertas dan pensil dan jika Anda memiliki FSM besar mungkin Qfsm
  • langkah 2) Anda perlu editor yang baik, ambil yang Anda suka dan semuanya baik-baik saja. ada beberapa khusus untuk VHDL, yang terbaik adalah sigasi (Anda harus google yang satu ini, pencegahan spam). Untuk tugas ini Anda sudah dapat menggunakan salah satu IDE vendor besar, tapi percayalah, itu BUKAN apa yang Anda inginkan
  • langkah 3) -> langkah 2
  • langkah 4) berikut adalah beberapa kemungkinan: Xilinx ISim, (Altera) Modelsim, (Lattice) Aldec, ghdl dalam kombinasi dengan GTKWave. Saya pikir ada lebih banyak Simulator, tetapi ini sudah cukup untuk memulai. Semua alat ini adalah Simulator saja, meskipun mereka membawa IDE penuh (kecuali ghdl).
  • langkah 5) bantulah diri Anda sendiri dan gunakan alat yang disediakan oleh pabrikan FPGA Anda. jika Anda cukup berpengalaman, Anda bisa mencoba Icarus Verilog juga.
  • langkah 6 dan 7) tidak ada kesempatan lain selain menggunakan vendortools
  • langkah 8) begitu banyak alat melakukan itu, bahkan alat yang disediakan oleh vendor FPGA. saya suka menggunakan commandlinestuff, jadi saya tetap menggunakan beberapa program flashing yang tidak jelas, tetapi vendortool juga oke.

semoga aku bisa membantu

Sapi Perah
sumber
1
Apa yang salah tepatnya dengan menggunakan editor yang disertakan dengan alat vendor? Mereka tampak dasar, tetapi fungsional.
drxzcl
ya, mereka sangat mendasar, tetapi setidaknya linux dari xilinx dan altera bahkan tidak memiliki edit blok yang mudah. ini sangat menyebalkan jika Anda ingin memberi komentar pada blok karena vhdl tidak memiliki perintah blok
milch
1
Saya berada di versi windows, dan ada opsi "blok komentar" di menu konteks. Untuk operasi pengeditan blok lainnya, Anda dapat melakukannya sendiri: P
drxzcl
6

IDE pemrograman atau editor teks sebenarnya dapat digunakan dengan bahasa deskripsi perangkat keras, dan siapa pun yang layak harus dapat meluncurkan kompilasi (simulasi penargetan atau perangkat keras) toolchain. Akibatnya, pertanyaan sebenarnya untuk mencapai sesuatu adalah "apa yang tersedia kompiler HDL gratis" - dengan jawabannya adalah hal-hal seperti Icarus Verliog, GHDL, dll. Pasangkan ini dengan emacs atau apa pun dan Anda senang melakukannya.

Namun, ketika banyak orang meminta "IDE" apa yang mereka maksudkan adalah sesuatu yang licin, siap untuk digunakan, seringkali dengan beberapa petunjuk / bantuan kontekstual. Jawaban umum untuk itu, adalah "versi web" terbatas dari in-house toolets yang ditawarkan oleh perusahaan-perusahaan besar FPGA, seperti Xilinx (ISE) atau Altera (Quartus). Anda tidak harus benar-benar memiliki perangkat keras dari perusahaan masing-masing untuk menyusun desain, atau untuk bermain dengan lisensi apa pun (biasanya ukuran atau waktu terbatas) untuk simulator yang mereka sertakan. Yang mengatakan, $ 50-150 untuk mendapatkan papan FPGA dasar dapat membuat pengalaman jauh lebih "nyata" dan mengekspos Anda untuk beberapa perbedaan mengejutkan kadang-kadang antara apa yang terjadi dalam simulator dan di sirkuit yang sebenarnya (umumnya dihasilkan dari hal-hal yang Anda miliki diabaikan untuk menentukan sepenuhnya,

Chris Stratton
sumber
5

Pilihan terbaik: Siagsi . Baik berdiri sendiri, atau plug-in ke Eclipse (mereka akan terlihat sangat mirip). Versi gratisnya tidak memiliki fungsi kode refactoring dan sejenisnya, melainkan direduksi menjadi "VHDL IDE" - persis seperti yang Anda inginkan.

Carl
sumber
4

Saat ini saya menggunakan IDE gratis dari Xilinx. Anda dapat mengunduhnya di sini (dengan asumsi Anda tidak tinggal di Korea Utara, dll.): Http://www.xilinx.com/support/download/index.htm

Saat ini disebut "ISE Design Suite" tetapi selama bertahun-tahun, Xilinx telah menamainya. Meskipun gratis, itu tidak dapat digunakan pada Xilinx FPGA yang benar-benar besar atau sangat modern. Saya menggunakannya sekarang pada desain Spartan6 LX45, papan Digilent Atlys (yang saat ini berharga $ 200 untuk orang-orang di dunia akademis, $ 349 untuk yang di luar): http://www.digilentinc.com/Products/Catalog.cfm?NavPath = 2.400 & Kucing = 10 & FPGA

Vendor FPGA utama lainnya adalah Altera. Mereka juga memiliki papan uji dan IDE gratis yang disebut "Quartus": http://www.altera.com/products/software/sfw-index.jsp

Carl Brannen
sumber
3

Dengan IDE biasa , Anda terjebak dengan apa yang mereka tawarkan kepada Anda. Tetapi dengan Emacs 24 , Anda dapat menyesuaikannya dengan keinginan aneh apa pun yang Anda miliki! Saya menggunakannya dengan mode pembuka , dan highlight-indentation . Lihat betapa murninya!

masukkan deskripsi gambar di sini

Emacs sebenarnya bukan IDE, tapi mengapa tidak membuatnya?

  • Kontrol versi
  • Tombol cepat untuk menjalankan kompiler eksternal, alat serat, simulator, membuat file, dll.
  • Dapat menambahkan kode lipat
  • Tombol cepat untuk memasukkan blok kode umum
  • Komentar otomatis
  • Anda mungkin sudah memilikinya!
travisbartley
sumber
3

Berikut adalah beberapa IDE gratis:

SystemVerilog, Verilog, VHDL, dan HDL lainnya

  • EDA Playground adalah IDE berbasis browser web yang menawarkan editor dengan penyorotan sintaks dan pilihan simulator. Karena dijalankan dari peramban web, tidak ada yang dipasang. Ini bagus untuk prototipe kecil, tetapi tidak untuk proyek besar.

SystemVerilog dan Verilog

  • SVEditor adalah plugin Eclipse. Anda perlu menyediakan simulator Anda sendiri. Selain itu, indeks file 1 pada satu waktu, yang lebih ketat daripada banyak simulator komersial memungkinkan. Rekomendasikan memiliki satu file tingkat atas untuk pengindeksan.
Victor Lyuboslavsky
sumber
1

Sebenarnya tidak ada IDE lengkap yang tersedia untuk desain RTL.

Taruhan terbaik Anda adalah mulai dengan emacs atau vi dengan plugin sintaks vhdl atau Verilog, dan remap beberapa tombol fungsi untuk mengkompilasi, menjalankan dan melakukan beberapa hal kontrol versi dasar. Penyelesaian kode telanjang adalah built-in untuk editor, tetapi mereka tidak benar-benar sadar VHDL / Verilog.

SDGator
sumber
1

Karena ini belum disebutkan di sini:

Gima
sumber
0

Saya baru-baru ini menemukan editor VHDL / Verilog yang cukup bagus ( http://www.vide-software.at ), yang merupakan plugin untuk Microsoft Visual Studio. Jika Anda seorang pelajar, ini gratis. Kalau tidak, biaya lisensi hanya sekitar 30EUR.

Jika Anda tahu dan menyukai Visual Studio, Anda akan menyukai plugin ini! Ini juga cukup canggih, karena mendukung penggantian nama, menemukan referensi, definisi goto, penyelesaian kode, dll. Kebanyakan editor (gratis) yang saya coba sebelumnya kehilangan fitur-fitur itu.

VhdlSeppl
sumber