Simulator VerilogA gratis [ditutup]

10

Ada banyak simulator SPICE dan Verilog gratis di luar sana seperti LTSPICE atau TINA atau bahkan WinSPICE. Ada juga beberapa simulator Verilog juga. Namun, saya mencari simulator VerilogA gratis. Adakah yang tahu?

user10090
sumber
2
Saya tidak punya jawaban, tetapi kami sudah memiliki beberapa permintaan untuk menambahkan Verilog-AMS (yang mencakup Verilog-A) ke CircuitLab. Kami telah melakukan upaya signifikan untuk mendukung sumber perilaku di simulator kami. Bisakah Anda memberikan beberapa contoh sistem seperti apa yang Anda inginkan untuk disimulasikan? Apakah Anda memiliki model VerilogA yang ada?
Compumike

Jawaban:

2

Simulator rempah-rempah GPL, Ngspice memiliki ekstensi yang disebut ADMS yang mengkompilasi kode Verilog-AMS ke dalam kode C yang bekerja dengan API yang digunakan oleh simulator rempah-rempah. Jadi Anda akan berakhir dengan sesuatu yang dapat dikompilasi menjadi executable yang dapat disimulasikan oleh simulator rempah-rempah (seperti Ngspice).

Karena Verilog-A tampaknya hanya sebagian dari Verilog-AMS, ini mungkin sesuai dengan kebutuhan Anda.

Sebastian Immel
sumber
1

Anda mungkin menemukan apa yang Anda cari:

http://atelecad.free.fr/

Advance Spice + Model Verilog A Compiler Build In -> banyak peningkatan fitur -> dengan fitur Verilog A canggih baru untuk memastikan konvergensi (Model Init & Limit Functions)

Skema Logture (2 versi Xlib + Motif) Simulasi Logika

dll ....

jean claude perraud
sumber
0

Anda harus mencoba SMASH Dolphin Integration gratis atau Anda harus mencoba VeriWell simulator

pengguna1891662
sumber
2
Apakah Anda mencobanya? Bisakah Anda memberikan beberapa detail tentang pengalaman Anda dengan alat ini?
clabacchio
Dolphin tidak gratis, dan Veriwell tidak melakukan analog. Sama sekali.
EML