cara menjalankan file verilog di linux

# to get waveform (dunmped as "wave.vcd")
gtkwave wave.vcd
z_z