Cara mendefinisikan sinyal yang tidak ditandatangani di VHDL

signal <SignalName> : unsigned (<NºBITS> Downto 0) : = <InitialValue>;
Heisenberg