Bagaimana cara menggunakan Verilog HDL di Ubuntu?

8

Saya mencoba memulai kursus kecil dengan beberapa contoh di Verilog Hdl dan setelah menghabiskan banyak waktu mencari Simulator atau IDE terbaik untuk berlatih dengan beberapa contoh, saya gagal menemukan cara menggunakannya di Ubuntu 12.04

Jadi, saya punya dua pertanyaan:

  1. Bagaimana saya bisa menggunakan Verilog di Ubuntu 12.04?

  2. Apa Simulator (atau IDE) terbaik yang tersedia untuk Linux?

amrro
sumber

Jawaban:

3

Anda dapat mengunduh simulator Linux Verilog dari SynpatiCAD yang kompatibel dengan Ubuntu. Ini termasuk simulator baris perintah dan IDE grafis. Setelah Anda menginstalnya, Anda dapat menjalankan alat dan meminta lisensi 6 bulan gratis untuk simulator.

Dan Notestein
sumber
5

Apakah posting ini membantu: Verilog dan VHDL di Linux (Ubuntu) ?

Ini merekomendasikan penggunaan gabungan Icarus Verilog ( iverilogdalam repositori) untuk simulasi Verilog, GHDL untuk simulasi VHDL, dan GTKWave ( gtkwavedalam repositori) untuk melihat bentuk gelombang.

Jika Anda ingin editor Verilog berbasis Eclipse, coba veditor .

Tom Regner
sumber
tetapi Veditor tidak membukanya saya membukanya dengan JDK6 dan tidak ada respons atau ada cara lain untuk meluncurkannya
amrro
Ini bukan produk mandiri, tetapi sebuah plugin gerhana; instal eclipse, lalu ikuti instruksi di sini: sourceforge.net/apps/mediawiki/veditor/…
Tom Regner
ok saya ingin bantuan lain ,, bisakah Anda memperbarui jawaban Anda dengan cara menginstal icarus Verilog ,, ican't menginstalnya
amrro
ghdl beri saya kesalahan itu ... Paket ghdl tidak tersedia, tetapi disebut oleh paket lain. Ini mungkin berarti bahwa paket tersebut hilang, telah usang, atau hanya tersedia dari sumber lain E: Paket 'ghdl' tidak memiliki kandidat instalasi
amrro
Ah - ditemukan mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; karena masalah pembangunan ghdl dijatuhkan dari ATM debian, dan karenanya juga di ubuntu per 12,04; Anda mungkin ingin mencoba untuk mengkompilasinya sendiri (dan menginstalnya melalui checkinstall) - tetapi berbicara / menulis Anda melalui yang melampaui waktu saya memungkinkan
Tom Regner
1

Anda juga dapat menggunakan lingkungan IDE untuk pengembangan FPGA. Altera Quartus untuk Altera (Intel) FPGA atau Xilinx ISE untuk perangkat Xilinx. Lingkungan ini memungkinkan Anda untuk menulis kode sumber VHDL dan Verilog dan termasuk simulator.

jotego
sumber
Secara pribadi telah menggunakan Quartus Prime, IDE yang cukup bagus, meskipun jika Anda akan mengunduh kode Verilog ke FPGA yang sebenarnya, Anda perlu mengedit aturan udev agar perangkat dapat dikenali.
Sergiy Kolodyazhnyy
1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Untuk melihat apakah semuanya berfungsi dengan benar, mari lakukan halo-dunia Verilog.

nano hello.v

kemudian tulis kode halo-dunia berikut

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Kemudian kompilasi

iverilog hello.v -o hello

dan akhirnya jalankan kode Anda

vvp hello
pengguna10842694
sumber
-1

Coba perintah ini di jendela terminal:

sudo apt-get install gplcver
operator linux
sumber
Ini tidak menjawab pertanyaan. Tolong jelaskan jawaban Anda. Apa itu gplcver? Bagaimana cara menggunakannya?
Eric Carvalho